编号YCF00020 基于FPGA的自动打铃设计

分  类
FPGA  
开发语言
特殊说明

成品:实物类设计成品只有参考资料,一般有程序、原理图、论wen、讲解视频。不同的设计包含的资料不一样,详细请看功能介绍

友情提醒:参考资料可能和您的需求有不完全匹配,只用于参考。想省事的客户可以选择定制。


定制:根据客户需求重新定制开发,价格咨询客服。提供程序仿真、实物、开题报告、d答辩ppt、翻译、论wen、讲解答疑等全套服务。


功能介绍 

成品包括:程序、仿真

----------------------

复位后,时钟自走时,led_s 1s亮1s灭,数码管0显示A,表示am白天,数码管1每60s加1,数码管2每10min加1。

数码管3每1hour加1,整点时刻,整点报时没有禁止,响铃5s钟

按下key_settime,开始设置时间,led­_s熄灭,按下set_ampm,从AM变成PM,再按一下,从PM返回AM,按下4次set_s,从1时变为5时,按下59次set_f,分钟从1分变成59分

按下整点报时禁止按钮,时钟到整点07:00:00,不响铃

 


 在线客服